當前位置:係統粉 >  係統教程 > 電腦軟件教程 >  modelsim使用教程

modelsim使用教程

時間:2015-11-19 來源:互聯網 瀏覽量:

    Modelsim仿真工具是Model公司開發的。它支持Verilog、VHDL以及他們的混合仿真,它可以將整個程序分步執行,使設計者直接看到他的程序下一步要執行的語句,而且在程序執行的任何步驟任何時刻都可以查看任意變量的當前值,可以在Dataflow窗口查看某一單元或模塊的輸入輸出的連續變化等,比quartus自帶的仿真器功能強大的多,是目前業界最通用的仿真器之一。

具體方法如下:

1

找到Modelsim這個軟件,雙擊打開。

2

單擊File,將鼠標移至New,選擇Project...,出現如圖所示的對話框。上邊的紅框填寫你要建立的工程名稱,比如我們要點亮一個led,就建立一個工程名為led的工程。下邊的紅框是指你要把工程建立在什麼位置。其餘的選項默認即可。點擊ok。

3

接下來,點擊Create new File,在彈出的對話框中填寫文件名,比如led.v,add file as type,選擇verilog,點擊ok。因為我們要用Modelsim仿真,一般要有測試文件,再添加一個即可,和添加led.v步驟一樣。我起名為tb_led.v。

4

分別雙擊文件名,進入編輯模式。編寫代碼。保存後,將鼠標放在其中一個文件,右鍵,compile->compile all。編譯通過後,會提示

# Compile of tb_led.v was successful.

# 2 compiles, 0 failed with no errors. 

就可以進行仿真了。

5

點擊Simulate->start Simulation。記得把optimizationqian2的勾選去掉,點擊work前的加號,選擇測試文件,比如我寫的是tb_led.v。ok。

出現wave,現在把波形加進去。在 tb_led文件右鍵 Add->To wave ->All items in design。開始運行仿真,點擊像一本書旁邊帶雙箭頭的圖標。再點擊放大鏡可以調節波形的時間軸的坐標。最後的仿真波形如圖。希望對你們有幫助。

我要分享:
版權信息

Copyright @ 2011 係統粉 版權聲明 最新發布內容 網站導航